FPGA

スポンサーリンク
FPGA

ISE14.7 for Windows10のインストール

Spartan3やSpartan6のような古いFPGAを搭載した開発キットの動作確認を行うためにISE14.7 for Windows10をインストールしようとした所、次のようなエラーが発生しました。 ISE14.7 for Windows...
FPGA

Avnet Ultra96-V2のUARTとJTAG

Ultra96-V2は、Zynq UltraScale+ MPSoC ZU3EG A484を搭載したシングルポードコンピュータです。SDカードから起動したLinuxで動作します。 Ultra96-V2は、他の多くのFPGAボードと異なり、U...
FPGA

Quartus Prime Lite Editionのプロジェクト管理

Quartus Prime Light EditionとNios II Eclipseのプロジェクト・データを別のディレクトリや別のPC上に移行したい場合のメモです。 Quartus Prime Quartus Primeでプロジェクト・デ...
FPGA

デザインに変更を加えた後にNios II Eclipseで必要な処理

デザインを変更してQuartus Primeでコンパイルを実行した後、Eclipseからプログラムを実行しようとすると、次のようなエラーが発生します。 Generate the BSP to update the Makefile, and...
FPGA

VivadoとVitisのプロジェクトデータ管理

VivadoやVitisのプロジェクト・データを別のディレクトリや別のPC上に移行したい場合のメモです。 Vivado Vivadoでプロジェクト・データのアーカイブファイルを作成し、それを別のPCなどで展開してVivadoで読み込むだけで...
FPGA

Vitisでの自作IPパッケージのコンパイルエラー

自作のAXI IPパッケージを含むデザイン用のプロジェクトをVitisでコンパイルしようとすると次のようなエラーが表示されました。エラーは、Vitisプロジェクトでsystem_wrapperをコンパイルする時に発生しています。 "Runn...
FPGA

AXI Verification IPのコマンドライン実行

VivadoのAXI Verification IP(AXI VIP)をWindows10のコマンドプロンプトから実行したときのメモです。 サンプルの生成 Vivadoで適当なプロジェクトを作成してIP CatalogからAXI VIPを生...
FPGA

Vivado Simulatorのコマンドライン実行(Tcl Shell)

Windows10のTcl Shell上でVivado Simulatorをコマンドライン実行する時の手順です。 Tcl Shellの起動 WindowsのスタートメニューからVivado Tcl Shellを起動します。 Tcl Shel...
FPGA

VivadoとVitisでFPGAをデバッグする時の注意点

VitisのアプリをFPGAの実機を使ってデバッグする場合、VivaoのFlow Navigator/PROGRAM AND DEBUGでHardware Managerを開き、ロジックアナライザのトリガー設定などを行ってからVitisでア...
FPGA

MicroblazeのHeap設定

Vitisでアプリケーションプロジェクトを作成してmallocを実行すると、全く成功しません(NULLが返ってくる)。システムのプロセッサはArty上に構築したMicroBlazeです。Artyにはボード上に256MBのDDRメモリが搭載さ...
スポンサーリンク