Vivadoのbitgenをコマンドラインで実行する

FPGA

Vivado GUIのProgram and DebugのGenerate Bitstreamをコマンドラインから実行する場合の手順です。

Generate Bitstreamをコマンドラインで実行する手順

まず、Vivado GUIで一通り動作するプロジェクトを作成します。次に、合成とインプリメンテーションを実行後、Generate Bitstreamを実行します。この時、プロジェクトのディレクトリにBitstream生成用のTclファイルが生成されます。場所は、<プロジェクト名>.runs/impl_1/<トップモジュール名>.tclです。このファイルを参考に、コマンドライン実行用のTclファイルを作成します。

tclファイルの作成

Bitstream生成の主な流れは

  • インプリメンテーション結果の読み込み(open_checkpoint)
  • write_bitstream
  • write_sysdef

です。実際のTclファイルは次の様になります。この例では、Bitstream生成後、SDK用に.sysdefを.hdfとしてコピーしています。

set PROJ_NAME set PROJ_NAME polyphony
set PROJ_DIR .
set SDK_DIR ./sdk
set TOP_NAME zed_base_wrapper

open_checkpoint ${TOP_NAME}_routed.dcp
write_bitstream -verbose -force ${TOP_NAME}.bit 
write_sysdef -force -hwdef ${TOP_NAME}.hwdef -bitfile ${TOP_NAME}.bit -meminfo ${TOP_NAME}.mmi -file ${TOP_NAME}.sysdef

file copy -force ${TOP_NAME}.sysdef ${SDK_DIR}/${TOP_NAME}.hdf

実行

Vivadoコマンドプロンプトから次のコマンドを実行すると、Bitstream生成が行われます。

vivado -m64 -mode batch -source bitgen.tcl
タイトルとURLをコピーしました