QuartusII

スポンサーリンク
FPGA

Altera Boot Disk Utilityのエラー

Cyclone Vでベアメタルアプリ(bare metal: LinuxなどのOSを使わないアプリ)を作成する場合、アプリのバイナリをalt-boot-disk-utilでSDカードにコピーします。 ベアメタルアプリ作成の詳しい手順はこちら...
FPGA

Cyclone V HPSへのインタラプト入力(ハンドラ部分)

ユーザー回路からインタラプト信号は、Qsysを利用してCyclone VのHPSに入力することができました。次に行うのは、インタラプト・ハンドラの動作確認です。当初、ベアメタルでインタラプトの動作を確認しようと考えていました。しかし、Cyc...
FPGA

Cyclone V HPSへのインタラプト入力(Qsys部分)

DE0-Nano-SoCに搭載されているCyclone Vで、ユーザー回路からHPSにインタラプト信号を接続する手順です。Quartus IIではQsysでHPSの設定などを行いますが、 インタラプト信号はどうやってQsysに入力すれば良い...
FPGA

DE0-Nano-SoCデモのコンパイルエラー

DE0-Nano-SoCには、Cortex-A9用プログラムのサンプルプロジェクトがいくつか付属しています。これらのサンプルをコンパイルしようとすると、エラーが発生しました。その時の修正方法のメモです。使用したQuartus IIのバージョ...
FPGA

Avalon-MM設計時に注意すること

Quartus IIでシステムを作成する場合、Qsysを使うのが一般的です。そして、Qsysに独自のモジュールを追加するときのインターフェース・プロトコルはAvalonです。特に、マスタ・モジュールではAvalon-MM( Avalon M...
FPGA

Quartus IIをコマンドラインから実行する方法

Quartus IIでデザインをコンパイルするとき、通常はGUIからProsessing->Start Compilationで行うか、またはTasksのCompile Designのダブルクリックで行うことが多いのではないでしょうか。 Q...
FPGA

Quartus IIで回路を更新した後のEclipseのコンパイル

Nios IIなどのCPUを含むシステム・デザインの場合、Quartus IIで回路データを更新した後にEclipseでプログラムの再コンパイルが必要です。この時、単純にリコンパイルすると次のようなエラーが発生します。 Generate t...
FPGA

Quartus IIのVerilogインクルード・ディレクトリの指定方法

Verilogはdefineマクロなどを格納した別ファイルをインクルードできます。 `include "vlg_define.v" module top ( clk, rst_x, : ): : Quartus IIでこのようなVerilo...
FPGA

Quartus IIをubuntuにインストールする

Quartus IIをubuntu 14.04 LTS(64bit)にインストールした時のメモです。(注:ubuntuはQuartus IIのオペレーティング・システム・サポートに記載されていないので、動作の保証はありません) 通常のインス...
スポンサーリンク