VHDL

スポンサーリンク
設計

VerilogとVHDLの記述比較(テストベンチ)

ModelSimコマンドライン実行用シェルスクリプトのVerilogとVHDLのサンプル・データについて、テストベンチの記述を比較しました。 サンプル・データはgithubにあります。 テストベンチの概要 テストベンチは、大きく3つの部分で...
設計

VerilogとVHDLの記述比較

ModelSimコマンドライン実行用シェルスクリプトのサンプル・データを使って、Verilog-HDLとVHDLの記述を比較しました。このサンプル・データは、シミュレータの実行スクリプトの動作確認を目的としているため、設計データは次のように...
設計

ModelSimコマンドライン実行用シェルスクリプト(VHDL)

ModelSimコマンドライン実行用スクリプトのVHDL版です。 ModelSimは、コマンドラインで直接実行することができます。例えば、次のようなシェル・スクリプト作ってModelSimの処理を記述しておくと、LinuxのターミナルやWi...
スポンサーリンク