IcarusVerilogのPLI1.0で浮動小数点入力

設計

IcarusVerilogのPLI1.0サンプルです。浮動小数点の値をバイナリ値に変換するtaskをPLI1.0で定義し、テストベンチで使用するサンプルです。動作環境はCentOS7です。

GitHub - Kenji-Ishimaru/iv-pli-sample: Icarus Verilog PLI1.0 sample
Icarus Verilog PLI1.0 sample. Contribute to Kenji-Ishimaru/iv-pli-sample development by creating an account on GitHub.

サンプルの内容は、以下のCver版と同じです。

CverのPLIで浮動小数点入力(Linux)
Verilog PLIで浮動小数点の値をバイナリ値に変換する方法を紹介します。シミュレータはCver、動作環境はLinux(ubuntu 14.04LTE 64bit)です。 ステップ1: PLI用Cファイルの準備 ステップ2: Cファイル...

Cver版との違い

PLI用のCソースは、#include “cv_veriuser.h”が不要な以外はCver版と同じです。また、コンパイル時に32ビットオプションは不要です。

vvp実行オプション

コンパイルしたPLI用シェアド・ライブラリ(my_pli.so)は、次のように-mcadpliオプションと-cadpliオプションでvvpに指定します。

vvp \
  -mcadpli \
  ${TOP_MODULE} \
  -cadpli=./my_pli.so:my_bootstrap
タイトルとURLをコピーしました