Basys 3の動作確認

開発キット

動作確認のために、公式サイトのサンプルプロジェクトをVivadoでビルドしたときのメモです。

Basys 3の公式マニュアルとサンプルプロジェクト

Basys 3の公式資料等は次のとおりです。

サンプルプロジェクトは次の4種類が用意されています。

デモの実行

サンプルデータに格納されているhw.xprをVivadoで開き、bitstreamを生成するだけです。

データの用意

ダウンロードしたGeneral I/O Demoのデータを適当なディレクトリに展開します。

展開したデモのデータ一覧
デモのデータ

Vivadoの起動とプロジェクトの読み込み

Vivadoを起動します。使用したVivadoのバージョンは ML Edition 2023.2です。

Vivadoの起動画面
Vivado ML Edition 2023.2

メニューのFile→Project→Open…を選択します。

プロジェクトを開く
Open Project

デモデータのhw.xprを選択してOKをクリックします。

hw.xprを選択して開く
hw.xprを開く

デモデータがVivado 2023.1で作成されているため、2023.2で開こうとすると、自動アップグレードのメッセージが表示されます。OKをクリックします。

アップグレードのメッセージ表示
現在のバージョンにアップグレード

bitstreamの生成

Flow NavigatorのGenerate Bitstreamをクリックします。表示されるメッセージでYesをクリックして、bitstreamを生成します。

bitstreamの生成を開始する
bitstreamの生成

機器のセットアップ

Basys 3にVGAディスプレイを接続して電源を投入します。また、UART用のUSBケーブルでPCと接続します。

bitstreamのダウンロード

Flow NavigatorのOpen Hardware Managerをクリックした後、続いて、Hardware ManagerのOpen target(Auto conenct)→Program deviceを順にクリックします。表示されるウィンドウでOKをクリックすると、bitstreamがBasys 3にダウンロードされます。

Hardware ManagerからbitstreamをBasys 3にダウンロードする
bitstreamにダウンロード
Basys 3のデモ動作の様子
7セグLEDとVGA出力

PC上のターミナルソフトでボタンの動作を確認できます。

Basys 3のボタンを押すと、ターミナルに応答が表示される
ターミナルの表示
タイトルとURLをコピーしました