Vivadoプロジェクト作成時のボード選択

FPGA

VivadoでDIGILENT社のArtyボード用のプロジェクトを作成する時、デフォルト状態のVivadoでは、Artyがリストに表示されません。

Vivado New Project作成

Vivado New Project作成

これは、DIGILENT社のArtyの説明(Installing Vivado Board Files for Digilent Boards)に記載されているとおり、追加のボードデータをVivadoの所定のディレクトリに格納することで表示されるようになります。

Arty用ボード情報のVivadoへの格納方法は、次のとおりです。
1.データのダウンロード
https://github.com/Digilent/vivado-boards/archive/master.zip
2.データの格納
Vivadoインストールディレクトリの、data/boards/board_files/にmaster.zipの展開データを格納。

追加データの格納場所

追加データの格納場所

3.Vivadoの再起動
プロジェクト作成時にArtyがDisplay Name部分に表示されるようになります。

Vivadoを再起動して新しいプロジェクトを作成

Vivadoを再起動して新しいプロジェクトを作成

DIGILENT社の説明を読みながら自分でプロジェクトを作成する場合は戸惑うことはないと思いますが、例えば、他の人が作成したArty用のプロジェクトを自分のVivado環境で実行するような場合は、Artyのボード情報がVivado環境に格納されていないことが原因で、コンパイル時に問題になる場合があります。

タイトルとURLをコピーしました