Vivado

スポンサーリンク
設計

Vivado2020.1でArtyのGetting Started with Microblaze

Vivado 2015.4が前提のArty Getting Started with Microblazeチュートリアルを、Vivado2020.1で実行したときのメモです。 チュートリアルの冒頭に「このガイドは古いので、こちらを参照してく...
FPGA

CentOS7から起動したXilinxSDKでjava.lang.NullPointerExceptionが発生する

CentOS7環境でXilinxSDKを起動し、プロジェクトのプロパティ画面からコンパイラの設定(C/C++ Build Settings)を表示しようとすると、正常に表示されない場合があります。 以下は、Vivado2016.4環境のXi...
FPGA

Vivado Simulatorのコマンドライン実行

Vivado Simulatorをコマンドラインから実行する場合、xvlog,xelab,xsimを続けて実行します。 #!/bin/sh # RTL directory RTL_DIR="../rtl" INC_DIR="../inclu...
FPGA

Xilinx SDK 2016.1のコンパイルエラー

OpenCoresで公開したワイヤーフレーム3D CG IPコア(wf3d)は、ZedBoardまたはDE0でサンプル実装を行っています。このサンプル環境には、Vivado 2015.4のXilinx SDKで作成したサンプルアプリが含まれ...
FPGA

Vivado 2016.3のIP Integratorの挙動が微妙に違う

OpenCoresで公開したワイヤーフレーム3D CG IPコア(wf3d)には、ZedBoard用のVivado実行サンプル環境が含まれています。このサンプル環境は、Tclスクリプトを使ってbitstreamを生成しているのですが、Viv...
FPGA

Vivadoコマンドラインとロジックアナライザ

Tclスクリプトを使ったVivadoのコマンドライン実行は非常に快適なのですが、唯一困るのがロジックアナライザの設定です。ロジックアナライザを利用する場合は、追加の処理が必要になります。 Tclスクリプトとロジックアナライザの設定 前提 V...
FPGA

vivadoコマンドライン実行のまとめ

最低限の設定ファイルを使って、Vivadoのコマンドラインからプロジェクト環境を再構築する場合の例です。 実行に必要なファイル TclスクリプトファイルとRTL、SDK用のCソースがあれば、プロジェクト環境を再生成できます。VivadoのI...
FPGA

VivadoのFPGAコンフィギュレーションとSDK起動をコマンドラインで実行する

Vivado GUIのProgram DeviceとのLaunch SDKをコマンドラインから実行する場合の手順です。 Program Device Tclスクリプト 次のようなTclスクリプトを作成します。この例では、zed_base_w...
FPGA

Vivadoのbitgenをコマンドラインで実行する

Vivado GUIのProgram and DebugのGenerate Bitstreamをコマンドラインから実行する場合の手順です。 Generate Bitstreamをコマンドラインで実行する手順 まず、Vivado GUIで一通...
FPGA

Vivadoのインプリメンテーションをコマンドラインで実行する

Vivado GUIのImplementationをコマンドラインから実行する場合の手順です。 Implementationをコマンドラインで実行する手順 まず、Vivado GUIで一通り動作するプロジェクトを作成してインプリメンテーショ...
スポンサーリンク